声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 1246|回复: 2

[滤波] 用IIR或FIR滤波均出现的问题

[复制链接]
发表于 2009-6-6 13:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
不论用IIR还是FIR性滤波器滤波后,得到的数据最前面的一些数据都不正确(特别小),后面的基本正确。请问是什么原因?有什么方法可以改善吗
回复
分享到:

使用道具 举报

发表于 2009-6-7 08:41 | 显示全部楼层
这是由于滤波器从无信号到有信号,到趋于稳定有一个过渡过程,对于任何系统都无法避免(不论是模拟,还是数字系统)。过渡过程的长短与系统的特性有关。要想解决这问题,最好的方法是把数据向右拓展(延伸),例如原数据长N,向右拓展L,变成长N+L,而滤波后取后面的N个数据。
 楼主| 发表于 2009-6-8 08:49 | 显示全部楼层

回复 沙发 songzy41 的帖子

恩,谢谢。
您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-10-1 01:25 , Processed in 0.225234 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表